Associate Technical Director


Broadcom Corporation (NASDAQ: BRCM), a FORTUNE 500® company, is a global leader and innovator in semiconductor solutions for wired and wireless communications. Broadcom® products seamlessly deliver voice, video, data and multimedia connectivity in the home, office and mobile environments. With the industry’s broadest portfolio of state-of-the-art system-on-a-chip and embedded software solutions, Broadcom is changing the world by Connecting everything®.









Job Req ID: 552364 



Job Posting Title: Associate Technical Director



City: San Diego



State: California



Country: USA



Alternate Location: N/A



Percent of Travel Required: 0% – 25%



Job Function: Engineering



Discipline: Technical Director-Technical Director






 



 






As a member of our Broadband and Connectivity group, you will be part of a design team implementing the latest advances in Bluetooth, Near field communications (NFC), and the broadest line of Wi-Fi® integrated circuits in the industry. 


You will be responsible for 40nm/28nm/16nm block and chip level physical design, developing and executing synthesis, DFT, formal verification, static timing analysis, Place/Route, IR drop analysis, low power verification, physical verification and power analysis flows. Primary focus will be on physical design implementation of blocks and chip level. Typically requires a BS degree and 18+ years of experience or an MS and 15+ years of experience or a PhD and 12+ years of experience.


Job Requirements:



– Expertise in Atoptech or other major PNR tools such as ICC, EDI, Olympus and Talus is required



– Experience with low power domain verification using UPF strongly preferred



– Experience with multi-voltage domains and low power design techniques strongly preferred



– Experience in IR and Power Analysis with RedHawk and Power Artist is desired



– Knowledge of deep sub-micron technology and trade-off between power, speed and area



– Familiar with Timing-Sign-Off of complex SOCs in deep sub micron CMOS technologies using state-of-the-art EDA tools (PrimeTime, Cadence ETS, Tempus, GoldTime), with advanced margin models (AOCV, POCV, SOCV).



– Experience with Logic Vision Memory (MBIST) and Logic Bist (LBIST) is a plus



– Should be very comfortable writing scripts in TCL and Perl to achieve higher performance and productivity through automation






– Must be well organized, methodical, and detail oriented



– Must have excellent interpersonal and communication skills



Broadcom is an equal opportunity employer (Minorities/Females/Disabled/Veterans)





Nearest Major Market: San Diego


Job Segment:
Semiconductor, Manager, Network, Engineer, Embedded, Science, Management, Engineering, Technology


Source link



Related Posts


EmoticonEmoticon

:)
:(
=(
^_^
:D
=D
=)D
|o|
@@,
;)
:-bd
:-d
:p
:ng
:lv